# Экзаменационный билет №1 ## Вопрос 1. Логарифмические частотные характеристики Цифровых Автоматических Систем (ЦАС): расчет, правила построения Логарифмические частотные характеристики (ЛЧХ) цифровых автоматических систем — важный инструмент анализа динамических свойств систем управления, особенно при проектировании систем с обратной связью. Основная цель ЛЧХ — графическое представление амплитудно-частотной и фазо-частотной характеристик системы на логарифмической шкале частот, что удобно для охвата широкого диапазона частот и выявления особенностей поведения системы. Расчет ЛЧХ цифровых систем начинается с получения передаточной функции системы в комплексной форме \(W(z)\) или \(W(s)\) (последнее применимо при аппроксимации непрерывным временем). В дискретных системах с периодом дискретизации \(T\) частота \(\omega\) нормируется и переходит в комплексную плоскость \(z = e^{j\omega T}\). Для каждого значения частоты \(\omega\) рассчитывают амплитуду модуля передаточной функции \(|W(e^{j\omega T})|\) и фазовый сдвиг \(\arg W(e^{j\omega T})\). Далее амплитудные значения переводят в логарифмическую шкалу, обычно в децибелах (дБ): \[ L(\omega) = 20 \log_{10} |W(e^{j\omega T})| \] Фазовый сдвиг выражается в градусах или радианах. Графики строятся на двух отдельных осях по частоте, отложенной в логарифмическом масштабе. Правила построения ЛЧХ следующие: 1. **Выбор диапазона частот**: Обычно охватывается от низких частот (близких к нулю) до частот, близких к половине частоты дискретизации (частота Найквиста). 2. **Построение амплитудно-частотной характеристики (АЧХ)**: По оси X — логарифм частоты, по оси Y — амплитуда в дБ. Амплитуда обычно плавно меняется, с резкими переходами в точках полюсов и нулей. 3. **Построение фазо-частотной характеристики (ФЧХ)**: Фаза выводится на отдельном графике, выражается в градусах или радианах, показывает сдвиг выходного сигнала относительно входного. 4. **Использование правил асимптотического построения**: Для упрощения часто строят приближенные графики, используя асимптоты, основанные на частотах полюсов и нулей передаточной функции. 5. **Учет задержек и дискретизации**: В цифровых системах задержки и квантование могут влиять на форму ЛЧХ, что следует учитывать. ЛЧХ позволяют оценивать устойчивость и качество управления, выявлять резонансные частоты, определять запас устойчивости по фазе и по амплитуде. Особенно важна для проектирования регуляторов и корректоров, где наглядное понимание частотных свойств системы помогает выбрать параметры. --- ## Вопрос 2. Проблема «исключающего ИЛИ» (XOR). Преодоление линейной разделимости Проблема «исключающего ИЛИ» (XOR) — классическая задача в области машинного обучения и теории нейронных сетей, иллюстрирующая ограничения простейших моделей. Функция XOR возвращает 1, если входные биты различны, и 0, если одинаковы. В двумерном пространстве точек \(\{(0,0),(0,1),(1,0),(1,1)\}\) результат нельзя разделить одной прямой, так как точки с разными классами чередуются диагонально. Это иллюстрирует **проблему линейной разделимости** — простейшие модели, такие как перцептрон с одной линейной функцией активации, не могут корректно обучиться на XOR, так как их решения являются линейными границами. Преодоление этой проблемы стало ключевым шагом в развитии нейронных сетей. Основные методы: 1. **Использование многослойных нейронных сетей (МНС)**. Добавление хотя бы одного скрытого слоя с нелинейной активацией позволяет моделировать нелинейные границы. Например, два нейрона первого слоя могут сформировать линейные границы, которые пересекаются, а выходной слой объединит их для реализации XOR. 2. **Использование нелинейных функций активации** (например, сигмоида, ReLU), что позволяет сети моделировать сложные разделяющие поверхности. 3. **Другие алгоритмы и методы**, включая ядерные методы в SVM, которые переводят данные в более высокоразмерное пространство, где задача становится линейно разделимой. Таким образом, проблема XOR показала, что **простые линейные модели имеют ограниченный класс задач**, и стала стимулом для развития глубинного обучения и сложных архитектур. --- ## Вопрос 3. Комбинационные и последовательностные логические устройства, их описание на языке VHDL Комбинационные и последовательностные логические устройства — фундаментальные элементы цифровой логики. **Комбинационные устройства** — логические схемы, выход которых в любой момент времени зависит только от текущих значений входов, без учета предыдущих состояний. Примеры: логические элементы (AND, OR, XOR), мультиплексоры, арифметические блоки. **Последовательностные устройства** — схемы, выход которых зависит не только от текущих входов, но и от предшествующих состояний, то есть обладают памятью. Примеры: триггеры, счетчики, регистры. На языке VHDL (Very High-Speed Integrated Circuit Hardware Description Language) описание устройств отражает их поведение и структуру. **Описание комбинационных устройств в VHDL** строится с использованием конструкций `process` или напрямую в архитектуре с описанием логических выражений: ```vhdl architecture comb_logic of my_circuit is begin output_signal <= a and b or c; -- простое логическое выражение end comb_logic; ``` Или с использованием процесса: ```vhdl process(a, b, c) begin if (a = '1' and b = '1') then output_signal <= '1'; else output_signal <= '0'; end if; end process; ``` Для последовательностных устройств используют процессы с чувствительностью к тактовому сигналу (clk), где происходит обновление состояний по фронту или спаду сигнала: ```vhdl process(clk) begin if rising_edge(clk) then state <= next_state; end if; end process; ``` Важным элементом являются описания триггеров, регистров и счетчиков с запоминающим поведением, где значения изменяются по синхронизации тактового сигнала и, возможно, сбросу. Таким образом, язык VHDL обеспечивает мощные средства для точного описания и моделирования как комбинационных, так и последовательностных устройств, позволяя проектировать сложные цифровые системы, от простых логических блоков до сложных микропроцессоров. # Экзаменационный билет №2 ## Вопрос 1. Дискретные передаточные функции элементов цифровых автоматических систем (ЦАС). Экстраполяторы и их передаточные функции Дискретные передаточные функции являются математическим описанием динамики элементов цифровых автоматических систем (ЦАС) в z-преобразовании. Они отражают связь между входом и выходом элемента в дискретном времени с учетом периода дискретизации \( T \). Передаточная функция в области z — это отношение z-преобразования выходного сигнала к входному при нулевых начальных условиях. Общие дискретные передаточные функции часто записываются в виде дробно-рациональных выражений: \[ W(z) = \frac{b_0 + b_1 z^{-1} + \cdots + b_m z^{-m}}{1 + a_1 z^{-1} + \cdots + a_n z^{-n}} \] где коэффициенты \( b_i \), \( a_j \) характеризуют свойства элемента. Основные элементы ЦАС включают: - **Звено первого порядка** — дискретный аналог непрерывного первого порядка, его передаточная функция может иметь вид: \[ W(z) = \frac{K (1 - \alpha)}{1 - \alpha z^{-1}} \] где \( \alpha = e^{-T/\tau} \), \( \tau \) — постоянная времени. - **Интегрирующее звено**, которое накапливает входной сигнал, имеет передаточную функцию: \[ W(z) = \frac{K T}{1 - z^{-1}} \] - **Дифференцирующее звено** можно получить из разности последовательных отсчетов. Экстраполяторы — это специальные дискретные устройства, которые используют известные значения сигнала для прогнозирования его будущих значений. В ЦАС экстраполяторы применяются для повышения точности и компенсации задержек. Простейшие экстраполяторы можно представить как конечные разностные уравнения, аппроксимирующие производные или интегралы функции. Их передаточные функции в z-представлении могут иметь вид: \[ W(z) = \frac{1}{1 - z^{-1}} \quad \text{(интегратор)} \] или более сложные, например, линейные экстраполяторы первого порядка: \[ W(z) = \frac{1 - \beta z^{-1}}{1 - \alpha z^{-1}} \] где \(\alpha\), \(\beta\) — параметры, определяющие свойства фильтра. Экстраполяторы широко используются в цифровой обработке сигналов для сглаживания, предсказания и фильтрации. --- ## Вопрос 2. Цель обучения нейронных сетей. Обучение с учителем. Обучение без учителя Цель обучения нейронных сетей — автоматическое нахождение таких параметров (весов и смещений), которые обеспечивают минимизацию ошибки между желаемым (эталонным) откликом и выходом сети. Другими словами, обучение направлено на построение модели, которая адекватно аппроксимирует или классифицирует входные данные. Существуют два основных типа обучения: - **Обучение с учителем (supervised learning)** — модель обучается на размеченных данных, где каждому входу соответствует известный правильный выход. Во время обучения нейросеть получает входные данные и эталонные ответы, и корректирует свои веса, чтобы минимизировать ошибку (например, используя метод градиентного спуска и алгоритм обратного распространения ошибки). Это позволяет сети впоследствии делать точные прогнозы или классификацию на новых данных. - **Обучение без учителя (unsupervised learning)** — в этом случае данные не содержат меток. Цель обучения — выявление скрытых структур, закономерностей или группировок в данных. Популярные методы — кластеризация, самоорганизующиеся карты (например, карты Кохонена). Обучение происходит путем адаптации весов с учетом сходства или различий между входами, без прямой целевой функции. Кроме того, существуют гибридные подходы и обучение с подкреплением. Обучение нейросетей позволяет решать широкий спектр задач: распознавание образов, прогнозирование, управление и многое другое. --- ## Вопрос 3. Маршрут проектирования в САПР Quartus II Quartus II — интегрированная среда разработки (IDE) от компании Intel (ранее Altera) для проектирования цифровых систем на основе ПЛИС (программируемых логических интегральных схем). Маршрут проектирования в Quartus II включает следующие этапы: 1. **Создание проекта** — выбор целевого ПЛИС, настройка проекта, организация структуры файлов. 2. **Описание схемы** — написание HDL-кода (VHDL или Verilog) для описания логики, либо графическое создание схемы. 3. **Компиляция проекта** — синтез HDL-кода, оптимизация и реализация логики на выбранном устройстве. На этом этапе производится логический синтез, размещение и трассировка элементов, формируется битовый файл для загрузки. 4. **Симуляция** — проверка корректности работы схемы при помощи встроенных или внешних симуляторов (например, ModelSim). Включает создание тестбенчей и проведение функционального тестирования. 5. **Программирование устройства** — загрузка сгенерированного файла конфигурации в ПЛИС через программатор. 6. **Отладка и верификация** — использование встроенных средств анализа и отладки, таких как SignalTap Logic Analyzer, для мониторинга работы схемы в реальном времени. Маршрут проектирования в Quartus II обеспечивает полный цикл от идеи до работающего аппаратного решения, позволяя эффективно создавать и тестировать сложные цифровые устройства. современном САПР Quartus II. Знание этих тем необходимо для глубокого понимания цифровых технологий и современных средств их реализации. # Экзаменационный билет №3 ## Вопрос 1. Частотные методы исследования цифровых автоматических систем (ЦАС). Реакция элемента ЦАС на гармоническое входное воздействие Частотные методы исследования ЦАС базируются на анализе поведения системы при входных сигналах гармонической формы. Этот подход позволяет изучать устойчивость, динамику и качество регулирования системы. В цифровых автоматических системах, работающих с дискретными сигналами, частотный анализ обычно проводится с использованием z-преобразования, что позволяет перейти в частотную область, аналогично непрерывному преобразованию Лапласа. Основная идея — исследовать реакцию элемента ЦАС на входной сигнал вида: \[ x(k) = A \cdot \sin(\omega kT + \phi) \] где \( \omega \) — частота в радианах, \( T \) — период дискретизации. Реакция системы на гармонический сигнал представляется выходным сигналом той же частоты, но с измененной амплитудой и сдвигом фазы. Для линейных дискретных систем эта реакция полностью описывается передаточной функцией \( W(z) \) на единичной окружности \( z = e^{j\omega T} \). Амплитудно-частотная характеристика (АЧХ) — это модуль значения \( W(e^{j\omega T}) \), показывающий, насколько изменяется амплитуда сигнала на выходе относительно входа при заданной частоте. Фазо-частотная характеристика (ФЧХ) — аргумент \( W(e^{j\omega T}) \), показывающий фазовый сдвиг выходного сигнала относительно входного. Частотный анализ позволяет выявить резонансы, устойчивость и поведение системы в широком диапазоне частот. --- ## Вопрос 2. Алгоритм обучения однослойного персептрона (метод Розенблатта) Однослойный персептрон — простейшая модель искусственного нейрона, которая классифицирует входные данные на два класса, используя линейное разделение. Метод Розенблатта — классический алгоритм обучения персептрона с учителем. Его цель — подобрать веса \( \mathbf{w} \) и порог \( \theta \), чтобы сеть правильно классифицировала обучающую выборку. Алгоритм выполняется итеративно: 1. Инициализация весов случайным образом или нулями. 2. Для каждого обучающего примера \( (\mathbf{x}, d) \), где \( \mathbf{x} \) — вход, \( d \in \{+1, -1\} \) — желаемый выход: - Вычисляется выход персептрона: \[ y = \text{sign}(\mathbf{w} \cdot \mathbf{x} - \theta) \] 3. Если \( y \neq d \), веса корректируются по правилу: \[ \mathbf{w} \leftarrow \mathbf{w} + \eta (d - y) \mathbf{x} \] где \( \eta \) — коэффициент обучения. 4. Процесс повторяется до тех пор, пока все примеры не классифицируются правильно или не достигнут лимит итераций. Алгоритм Розенблатта гарантирует сходимость, если данные линейно разделимы. В противном случае он не сможет найти решение. --- ## Вопрос 3. Язык VHDL. Структура описания проекта на VHDL VHDL (VHSIC Hardware Description Language) — язык описания аппаратуры, позволяющий моделировать и синтезировать цифровые схемы на уровне поведенческом, структурном и регистрово-транзисторном. Основная структура VHDL-проекта включает несколько частей: 1. **Library и Use** — подключение библиотек, необходимых для описания: ```vhdl library IEEE; use IEEE.STD_LOGIC_1164.ALL;