Обновить readme.md
This commit is contained in:
parent
f45ebd1fd4
commit
dcee38c6bc
634
readme.md
634
readme.md
@ -48,51 +48,6 @@ L(\omega) = 20 \log_{10} |W(e^{j\omega T})|
|
||||
|
||||
---
|
||||
|
||||
## Вопрос 3. Комбинационные и последовательностные логические устройства, их описание на языке VHDL
|
||||
|
||||
Комбинационные и последовательностные логические устройства — фундаментальные элементы цифровой логики.
|
||||
|
||||
**Комбинационные устройства** — логические схемы, выход которых в любой момент времени зависит только от текущих значений входов, без учета предыдущих состояний. Примеры: логические элементы (AND, OR, XOR), мультиплексоры, арифметические блоки.
|
||||
|
||||
**Последовательностные устройства** — схемы, выход которых зависит не только от текущих входов, но и от предшествующих состояний, то есть обладают памятью. Примеры: триггеры, счетчики, регистры.
|
||||
|
||||
На языке VHDL (Very High-Speed Integrated Circuit Hardware Description Language) описание устройств отражает их поведение и структуру.
|
||||
|
||||
**Описание комбинационных устройств в VHDL** строится с использованием конструкций `process` или напрямую в архитектуре с описанием логических выражений:
|
||||
|
||||
```vhdl
|
||||
architecture comb_logic of my_circuit is
|
||||
begin
|
||||
output_signal <= a and b or c; -- простое логическое выражение
|
||||
end comb_logic;
|
||||
```
|
||||
Или с использованием процесса:
|
||||
|
||||
```vhdl
|
||||
process(a, b, c)
|
||||
begin
|
||||
if (a = '1' and b = '1') then
|
||||
output_signal <= '1';
|
||||
else
|
||||
output_signal <= '0';
|
||||
end if;
|
||||
end process;
|
||||
```
|
||||
|
||||
Для последовательностных устройств используют процессы с чувствительностью к тактовому сигналу (clk), где происходит обновление состояний по фронту или спаду сигнала:
|
||||
|
||||
```vhdl
|
||||
process(clk)
|
||||
begin
|
||||
if rising_edge(clk) then
|
||||
state <= next_state;
|
||||
end if;
|
||||
end process;
|
||||
```
|
||||
Важным элементом являются описания триггеров, регистров и счетчиков с запоминающим поведением, где значения изменяются по синхронизации тактового сигнала и, возможно, сбросу.
|
||||
|
||||
Таким образом, язык VHDL обеспечивает мощные средства для точного описания и моделирования как комбинационных, так и последовательностных устройств, позволяя проектировать сложные цифровые системы, от простых логических блоков до сложных микропроцессоров.
|
||||
|
||||
|
||||
# Экзаменационный билет №2
|
||||
|
||||
@ -160,30 +115,6 @@ W(z) = \frac{1 - \beta z^{-1}}{1 - \alpha z^{-1}}
|
||||
|
||||
Обучение нейросетей позволяет решать широкий спектр задач: распознавание образов, прогнозирование, управление и многое другое.
|
||||
|
||||
---
|
||||
|
||||
## Вопрос 3. Маршрут проектирования в САПР Quartus II
|
||||
|
||||
Quartus II — интегрированная среда разработки (IDE) от компании Intel (ранее Altera) для проектирования цифровых систем на основе ПЛИС (программируемых логических интегральных схем).
|
||||
|
||||
Маршрут проектирования в Quartus II включает следующие этапы:
|
||||
|
||||
1. **Создание проекта** — выбор целевого ПЛИС, настройка проекта, организация структуры файлов.
|
||||
|
||||
2. **Описание схемы** — написание HDL-кода (VHDL или Verilog) для описания логики, либо графическое создание схемы.
|
||||
|
||||
3. **Компиляция проекта** — синтез HDL-кода, оптимизация и реализация логики на выбранном устройстве. На этом этапе производится логический синтез, размещение и трассировка элементов, формируется битовый файл для загрузки.
|
||||
|
||||
4. **Симуляция** — проверка корректности работы схемы при помощи встроенных или внешних симуляторов (например, ModelSim). Включает создание тестбенчей и проведение функционального тестирования.
|
||||
|
||||
5. **Программирование устройства** — загрузка сгенерированного файла конфигурации в ПЛИС через программатор.
|
||||
|
||||
6. **Отладка и верификация** — использование встроенных средств анализа и отладки, таких как SignalTap Logic Analyzer, для мониторинга работы схемы в реальном времени.
|
||||
|
||||
Маршрут проектирования в Quartus II обеспечивает полный цикл от идеи до работающего аппаратного решения, позволяя эффективно создавать и тестировать сложные цифровые устройства.
|
||||
|
||||
современном САПР Quartus II. Знание этих тем необходимо для глубокого понимания цифровых технологий и современных средств их реализации.
|
||||
|
||||
# Экзаменационный билет №3
|
||||
|
||||
## Вопрос 1. Частотные методы исследования цифровых автоматических систем (ЦАС). Реакция элемента ЦАС на гармоническое входное воздействие
|
||||
@ -238,16 +169,567 @@ x(k) = A \cdot \sin(\omega kT + \phi)
|
||||
|
||||
Алгоритм Розенблатта гарантирует сходимость, если данные линейно разделимы. В противном случае он не сможет найти решение.
|
||||
|
||||
|
||||
|
||||
# Экзаменационный билет №4
|
||||
|
||||
## Вопрос 1. Передаточная функция приведенной непрерывной части системы управления
|
||||
|
||||
Передаточная функция — это фундаментальное понятие теории управления, позволяющее описывать поведение системы в частотной области. Для непрерывной системы управления она определяется как отношение выходного сигнала к входному в изображенной области Лапласа при нулевых начальных условиях. В инженерной практике часто применяется понятие *приведенной* передаточной функции, особенно при анализе смешанных систем, содержащих как дискретные, так и непрерывные элементы.
|
||||
|
||||
Под приведенной передаточной функцией непрерывной части системы управления понимается передаточная функция, отражающая только динамику непрерывных звеньев, исключая влияние дискретных компонентов и других подсистем, которые можно рассматривать отдельно. Обычно это нужно для раздельного анализа частей сложной системы и последующего синтеза управления.
|
||||
|
||||
Рассмотрим пример. Пусть система включает несколько последовательных звеньев, таких как интегратор, усилитель, фильтр. Каждое звено имеет свою передаточную функцию, например:
|
||||
|
||||
\[
|
||||
W_1(s) = \frac{K}{s}, \quad W_2(s) = \frac{1}{T s + 1}, \quad W_3(s) = K_f
|
||||
\]
|
||||
|
||||
Приведенная передаточная функция — это их произведение:
|
||||
|
||||
\[
|
||||
W(s) = W_1(s) \cdot W_2(s) \cdot W_3(s)
|
||||
\]
|
||||
|
||||
Она показывает, как выход системы зависит от входа, если игнорировать влияние дискретных или внешних компонентов, например, блока дискретного управления.
|
||||
|
||||
Приведенная передаточная функция позволяет:
|
||||
- Анализировать устойчивость непрерывной части системы.
|
||||
- Определять переходные характеристики.
|
||||
- Выполнять синтез корректирующих устройств для обеспечения требуемых свойств.
|
||||
|
||||
Таким образом, передаточная функция приведенной непрерывной части является важным инструментом для упрощенного анализа и проектирования систем управления.
|
||||
|
||||
---
|
||||
|
||||
## Вопрос 3. Язык VHDL. Структура описания проекта на VHDL
|
||||
## Вопрос 2. Процедура обратного распространения ошибки. Сходимость алгоритма обратного распространения ошибки и способы ее ускорения
|
||||
|
||||
VHDL (VHSIC Hardware Description Language) — язык описания аппаратуры, позволяющий моделировать и синтезировать цифровые схемы на уровне поведенческом, структурном и регистрово-транзисторном.
|
||||
Обратное распространение ошибки (*backpropagation*) — базовый алгоритм обучения многослойных нейронных сетей. Его цель — минимизация функции ошибки (например, среднеквадратичной ошибки между выходом сети и эталонным значением) за счет корректировки весов нейронов.
|
||||
|
||||
Основная структура VHDL-проекта включает несколько частей:
|
||||
Алгоритм включает следующие этапы:
|
||||
|
||||
1. **Library и Use** — подключение библиотек, необходимых для описания:
|
||||
1. **Прямой проход**: вычисляются выходы всех нейронов, начиная от входного слоя до выходного.
|
||||
2. **Вычисление ошибки на выходном слое**: разность между целевым значением и фактическим выходом.
|
||||
3. **Обратный проход (обратное распространение)**: ошибка передается назад по слоям, а градиенты функции ошибки вычисляются по правилу дифференцирования сложных функций (цепное правило).
|
||||
4. **Обновление весов**: веса корректируются в направлении, противоположном градиенту, с использованием выбранного коэффициента обучения \( \eta \):
|
||||
|
||||
```vhdl
|
||||
library IEEE;
|
||||
use IEEE.STD_LOGIC_1164.ALL;
|
||||
\[
|
||||
w_{ij}^{(new)} = w_{ij}^{(old)} - \eta \frac{\partial E}{\partial w_{ij}}
|
||||
\]
|
||||
|
||||
где \( E \) — функция ошибки.
|
||||
|
||||
### Сходимость алгоритма обратного распространения ошибки
|
||||
|
||||
Алгоритм гарантирует сходимость при условии, что:
|
||||
- Функция ошибки непрерывна и дифференцируема.
|
||||
- Коэффициент обучения \( \eta \) достаточно мал.
|
||||
|
||||
Однако на практике возможны проблемы:
|
||||
- **Медленная сходимость**: градиент может быть очень мал, особенно в глубоких сетях (проблема затухающего градиента).
|
||||
- **Застревание в локальных минимумах**.
|
||||
|
||||
### Способы ускорения сходимости
|
||||
|
||||
1. **Инициализация весов**: веса должны быть малыми случайными значениями (например, по методу Хе или Глорот).
|
||||
2. **Импульс (momentum)**: добавляется член, учитывающий предыдущее изменение весов, что помогает преодолевать плато и локальные минимумы:
|
||||
|
||||
\[
|
||||
\Delta w_{ij}(t) = -\eta \frac{\partial E}{\partial w_{ij}} + \alpha \Delta w_{ij}(t-1)
|
||||
\]
|
||||
|
||||
где \( \alpha \) — коэффициент импульса.
|
||||
|
||||
3. **Адаптивные методы обучения**: использование алгоритмов, таких как RMSProp, Adam, AdaGrad, которые адаптируют скорость обучения для каждого параметра.
|
||||
4. **Нормализация входов**: помогает избежать слишком больших или малых градиентов.
|
||||
5. **Использование мини-батчей**: обновление градиента на части обучающей выборки ускоряет процесс.
|
||||
|
||||
Таким образом, процедура обратного распространения ошибки является основным методом обучения нейронных сетей, а ускорение ее сходимости достигается за счет комбинации методов оптимизации, правильной инициализации и настройки гиперпараметров.
|
||||
|
||||
|
||||
# Экзаменационный билет №5
|
||||
|
||||
## Вопрос 1. Построение переходных процессов. Использование общей формулы обратного Z-преобразования
|
||||
|
||||
Переходный процесс в цифровой системе автоматического управления (ЦАС) — это реакция системы на начальные условия или на скачкообразное изменение входного сигнала. Анализ переходных процессов позволяет оценить такие характеристики системы, как устойчивость, быстродействие, перерегулирование и колебательность.
|
||||
|
||||
Основой построения переходной характеристики в цифровой системе является использование Z-преобразования. После получения передаточной функции системы в z-области можно определить отклик системы на заданное входное воздействие, например, единичный скачок (ступенчатое воздействие), и перейти к временной области с помощью **обратного Z-преобразования**.
|
||||
|
||||
Общая формула обратного Z-преобразования:
|
||||
|
||||
\[
|
||||
x(k) = \frac{1}{2\pi j} \oint_C X(z) z^{k-1} dz
|
||||
\]
|
||||
|
||||
где контур интегрирования C — замкнутая кривая, охватывающая все полюса функции \( X(z) \) и лежащая внутри области сходимости.
|
||||
|
||||
Однако в инженерной практике обратное Z-преобразование чаще выполняется:
|
||||
- По таблицам стандартных Z-преобразований.
|
||||
- С использованием разложения функции на простейшие дроби.
|
||||
- Через метод вычитов, если используется ручной расчет.
|
||||
- С помощью программных средств (например, MATLAB), где используется функция `iztrans`.
|
||||
|
||||
Построение переходной характеристики выполняется следующим образом:
|
||||
1. Получить передаточную функцию \( W(z) = \frac{Y(z)}{U(z)} \).
|
||||
2. Задать входное воздействие, например, \( U(z) = \frac{z}{z - 1} \) для единичного скачка.
|
||||
3. Найти выход \( Y(z) = W(z) \cdot U(z) \).
|
||||
4. Выполнить обратное Z-преобразование \( y(k) = Z^{-1}\{Y(z)\} \), получив выражение во временной области.
|
||||
5. Построить график зависимости \( y(k) \) от дискретного времени \( k \).
|
||||
|
||||
Таким образом, применение обратного Z-преобразования позволяет получить переходную характеристику и анализировать поведение цифровой системы во времени.
|
||||
|
||||
---
|
||||
|
||||
## Вопрос 2. Однослойные и многослойные нейронные сети
|
||||
|
||||
Нейронная сеть — это модель, вдохновлённая биологическим мозгом, состоящая из множества искусственных нейронов, соединённых между собой весами. Основное отличие между однослойными и многослойными сетями заключается в их способности решать линейно или нелинейно разделимые задачи.
|
||||
|
||||
### Однослойные нейронные сети
|
||||
|
||||
Однослойная нейронная сеть (персептрон) состоит из одного слоя вычислительных нейронов, напрямую соединённых с входами. Такой персептрон способен решать задачи линейной классификации, то есть разделять выборки с помощью гиперплоскости. Веса настраиваются с помощью алгоритма обучения, например, метода Розенблатта.
|
||||
|
||||
Ограничения:
|
||||
- Не способен решать задачи, где классы не разделяются прямой (например, задача XOR).
|
||||
- Простая архитектура, быстрая сходимость.
|
||||
|
||||
### Многослойные нейронные сети
|
||||
|
||||
Многослойная нейронная сеть (MLP — многослойный персептрон) включает один или несколько скрытых слоёв между входами и выходом. Каждый нейрон скрытого слоя получает сигналы от всех нейронов предыдущего слоя. За счёт использования **нелинейных функций активации** (например, ReLU, сигмоида, tanh) многослойные сети способны аппроксимировать любые непрерывные функции и решать задачи с **нелинейной разделимостью**.
|
||||
|
||||
Обучение многослойных сетей осуществляется методом обратного распространения ошибки (backpropagation) с применением градиентного спуска.
|
||||
|
||||
Преимущества:
|
||||
- Гибкость и высокая аппроксимирующая способность.
|
||||
- Возможность обработки сложных зависимостей во входных данных.
|
||||
- Применимы к задачам классификации, регрессии, распознавания образов и др.
|
||||
|
||||
Недостатки:
|
||||
- Увеличение вычислительных затрат при росте числа слоёв.
|
||||
- Риск переобучения при недостатке данных.
|
||||
- Необходимость подбора гиперпараметров (число слоёв, нейронов, скорость обучения и др.).
|
||||
|
||||
Таким образом, однослойные сети эффективны для простых задач, но для более сложных случаев необходима глубокая архитектура, обеспечивающая большую выразительную силу модели.
|
||||
|
||||
|
||||
# Экзаменационный билет №6
|
||||
|
||||
## Вопрос 1. Построение переходных процессов. Расчет на основе разложения передаточной функции САУ на элементарные дроби
|
||||
|
||||
Переходный процесс в системе автоматического управления (САУ) характеризует поведение системы во времени после приложения входного воздействия, например, ступенчатого сигнала. Для анализа переходных процессов в дискретных системах часто используется метод разложения передаточной функции на элементарные дроби.
|
||||
|
||||
Передаточная функция системы в z-области имеет вид:
|
||||
|
||||
$$
|
||||
W(z) = \frac{Y(z)}{U(z)} = \frac{N(z)}{D(z)}
|
||||
$$
|
||||
|
||||
где $N(z)$ и $D(z)$ — многочлены. Чтобы получить отклик системы во временной области, необходимо выполнить обратное Z-преобразование. Один из способов — разложить дробь на сумму простейших дробей:
|
||||
|
||||
$$
|
||||
W(z) = \sum \frac{A}{z - p}
|
||||
$$
|
||||
|
||||
где $p$ — полюса системы, $A$ — соответствующие коэффициенты (выResidue вычисляются с помощью метода неопределённых коэффициентов или вычетов). После разложения каждое слагаемое соответствует известной форме обратного Z-преобразования из таблиц.
|
||||
|
||||
Процедура построения переходного процесса:
|
||||
|
||||
1. Разложить $W(z)$ на элементарные дроби.
|
||||
2. Для каждого слагаемого выполнить обратное Z-преобразование, используя таблицы.
|
||||
3. Найти отклик на заданное входное воздействие, например, единичный скачок.
|
||||
4. Построить график отклика системы.
|
||||
|
||||
Метод удобен, так как позволяет аналитически находить временные зависимости для каждого слагаемого, а значит, для всей системы.
|
||||
|
||||
---
|
||||
|
||||
## Вопрос 2. Персептрон (решаемые задачи, представимость, линейная разделимость)
|
||||
|
||||
Персептрон — это простейшая модель нейронной сети, состоящая из одного слоя вычислительных элементов, соединённых с входными сигналами. Каждый входной сигнал умножается на вес, затем вычисляется взвешенная сумма, и результат передаётся через функцию активации (обычно пороговую).
|
||||
|
||||
Персептрон решает задачи **линейной классификации**, то есть задачи, где классы можно разделить прямой (в 2D) или гиперплоскостью (в n-мерном пространстве). Примеры таких задач:
|
||||
|
||||
* Определение, принадлежит ли точка области (линейная граница).
|
||||
* Классификация объектов на два класса по линейным признакам.
|
||||
|
||||
Однако персептрон **не способен** решать задачи, которые не линейно разделимы, например задачу XOR.
|
||||
|
||||
Теорема о представимости (Cover’s Theorem) утверждает, что для линейно разделимой задачи существует множество весов, которые позволяют правильно классифицировать обучающую выборку. В случае нелинейной разделимости однослойный персептрон бесполезен.
|
||||
|
||||
Таким образом, персептрон применим для простых задач, где достаточно линейной границы между классами. Для более сложных задач требуется многослойная нейросеть.
|
||||
|
||||
---
|
||||
|
||||
## Вопрос 3. Аппаратные ядра ARM Cortex Cortex-A9 и Cortex-A53
|
||||
|
||||
### Cortex-A9
|
||||
|
||||
ARM Cortex-A9 — это 32-битное ядро архитектуры ARMv7-A, ориентированное на системы с высокой производительностью. Основные характеристики:
|
||||
|
||||
* Суперскалярная архитектура с двумя или более исполнительными конвейерами.
|
||||
* Поддержка SIMD-инструкций (NEON).
|
||||
* Поддержка аппаратного разделения памяти и многозадачности.
|
||||
* Предназначено для встраиваемых решений, таких как мультимедийные устройства, сетевое оборудование, одноплатные компьютеры.
|
||||
|
||||
Cortex-A9 применяется в системах, где требуется высокая производительность при умеренном энергопотреблении. Примеры использования: процессоры NVIDIA Tegra, Texas Instruments OMAP.
|
||||
|
||||
### Cortex-A53
|
||||
|
||||
ARM Cortex-A53 — 64-битное ядро архитектуры ARMv8-A. Отличается следующими особенностями:
|
||||
|
||||
* Поддержка 64-битных вычислений и инструкций.
|
||||
* Архитектура с улучшенной энергоэффективностью.
|
||||
* Поддержка виртуализации, аппаратного шифрования, улучшенного управления памятью.
|
||||
* Применяется в мобильных устройствах, одноплатных компьютерах (например, Raspberry Pi 3), а также в некоторых серверах.
|
||||
|
||||
Cortex-A53 обычно используется в энергосберегающих системах, где важен баланс между производительностью и энергопотреблением. В конфигурациях big.LITTLE может сочетаться с более мощными ядрами, такими как Cortex-A72, обеспечивая оптимальную производительность в зависимости от нагрузки.
|
||||
|
||||
---
|
||||
|
||||
Оба ядра (A9 и A53) предназначены для встраиваемых и мобильных систем, но Cortex-A53 представляет собой более современное и энергоэффективное решение с поддержкой 64-битных вычислений.
|
||||
|
||||
|
||||
# Экзаменационный билет №7
|
||||
|
||||
## Вопрос 1. Расчет аналогового прототипа корректирующего устройства при наличии неизменяемой непрерывной части системы методом логарифмических частотных характеристик
|
||||
|
||||
При проектировании корректирующих устройств (КУ) для систем автоматического управления (САУ) важно учитывать структуру системы, особенно если существует неизменяемая непрерывная часть. Один из методов построения КУ — использование логарифмических частотных характеристик (ЛАЧХ).
|
||||
|
||||
Процедура построения корректирующего устройства методом ЛАЧХ включает следующие этапы:
|
||||
|
||||
1. Анализ структуры САУ и выделение неизменяемой непрерывной части.
|
||||
2. Определение желаемых показателей системы (запас по фазе, запас по амплитуде, время переходного процесса).
|
||||
3. Построение ЛАЧХ неизменяемой части.
|
||||
4. Наложение требований к ЛАЧХ замкнутой системы и определение требуемой ЛАЧХ КУ.
|
||||
5. Синтез передаточной функции КУ в аналоговой форме (аналоговый прототип) — обычно через подбор усилителя, интегратора, дифференцирующего звена, фильтра и т. д.
|
||||
6. Проверка полученной ЛАЧХ КУ и её корректировка при необходимости.
|
||||
|
||||
Таким образом, метод позволяет учесть влияние неизменяемых элементов и целенаправленно формировать частотные свойства системы.
|
||||
|
||||
---
|
||||
|
||||
## Вопрос 2. Обучение когнитрона
|
||||
|
||||
Когнитрон — это многослойная нейронная сеть, разработанная Кунио Фукусимой для распознавания образов. Его архитектура вдохновлена работой зрительной коры человека и включает слои клеток, выполняющих операции локального объединения и распознавания.
|
||||
|
||||
Обучение когнитрона происходит в несколько этапов:
|
||||
|
||||
* **Формирование карты признаков**: нижние слои когнитрона выделяют простые признаки (линии, углы).
|
||||
* **Обучение по принципу без учителя**: веса синапсов настраиваются так, чтобы усиливать часто встречающиеся шаблоны (например, с использованием алгоритма конкуренции).
|
||||
* **Закрепление связей**: после многократных проходов по обучающим примерам веса стабилизируются.
|
||||
|
||||
Особенностью когнитрона является обучение без явного учителя: система учится распознавать структуры самостоятельно на основе частотного анализа входных данных.
|
||||
|
||||
---
|
||||
|
||||
## Вопрос 3. ПЛИС типа FPGA фирмы Altera семейств Cyclone, Arria и Stratix
|
||||
|
||||
ПЛИС (FPGA) — программируемые логические интегральные схемы, позволяющие реализовать цифровые устройства с гибкой архитектурой.
|
||||
|
||||
### Cyclone
|
||||
|
||||
FPGA Cyclone ориентированы на низкую стоимость и низкое энергопотребление. Предназначены для массовых приложений, таких как бытовая электроника и коммуникационные устройства. Обеспечивают базовые логические ресурсы, поддерживают PLL, встроенные мультипликаторы и блоки памяти.
|
||||
|
||||
### Arria
|
||||
|
||||
FPGA Arria — устройства среднего класса, ориентированные на более сложные задачи, такие как обработка сигналов и протоколы связи. Отличаются улучшенной производительностью, поддержкой высокоскоростных интерфейсов (Transceivers) и большей плотностью логических элементов.
|
||||
|
||||
### Stratix
|
||||
|
||||
Stratix — флагманская серия FPGA от Altera. Предназначены для высокопроизводительных вычислений, обработки сигналов, телекоммуникаций и систем с большими объемами данных. Отличаются высокой плотностью логических элементов, большим количеством DSP-блоков и поддержкой многогигабитных интерфейсов.
|
||||
|
||||
Таким образом, выбор серии FPGA Altera зависит от задач проекта: Cyclone — для простых и экономичных решений, Arria — для задач среднего уровня сложности, Stratix — для высокопроизводительных приложений.
|
||||
|
||||
|
||||
# Экзаменационный билет №8
|
||||
|
||||
## Вопрос 1. Устойчивость ЦАС. Критерий устойчивости Найквиста
|
||||
|
||||
Устойчивость системы автоматического управления (ЦАС) — одно из основных требований при проектировании систем. Система считается устойчивой, если при любом ограниченном входном воздействии её выходное воздействие остаётся ограниченным, и после окончания воздействия система возвращается в равновесное состояние.
|
||||
|
||||
Для анализа устойчивости широко используется частотный метод, в частности, критерий Найквиста. Он основан на рассмотрении комплексной частотной характеристики разомкнутой системы (передаточной функции разомкнутого контура) и её отображении на комплексной плоскости.
|
||||
|
||||
Критерий Найквиста формулируется следующим образом: если при обходе контуром Найквиста комплексной плоскости (имагинальной оси от −∞ до +∞ и обратно через бесконечность) замкнутый путь вокруг критической точки (−1; 0) не обходит её, система устойчива. Количество оборотов вокруг точки (−1; 0) связано с числом полюсов системы, находящихся в правой полуплоскости.
|
||||
|
||||
Алгоритм применения критерия Найквиста:
|
||||
|
||||
1. Построить частотную характеристику разомкнутой системы.
|
||||
2. Выполнить обход частотной характеристики от ω = 0 до ω = ∞ и далее от ω = −∞ до ω = 0, учтя возможные особенности функции (например, полюсы на мнимой оси).
|
||||
3. Подсчитать количество обходов точки (−1; 0) в положительном направлении.
|
||||
4. Проверить условие устойчивости: система устойчива, если число оборотов вокруг (−1; 0) равно количеству полюсов системы, находящихся в правой полуплоскости.
|
||||
|
||||
Критерий Найквиста позволяет проводить анализ устойчивости систем с запаздыванием, колебательными процессами и другими сложными характеристиками, обеспечивая наглядное представление поведения системы в частотной области.
|
||||
|
||||
---
|
||||
|
||||
## Вопрос 2. Сети встречного распространения. Слой Кохоненна, слой Гроссберга
|
||||
|
||||
Сети встречного распространения (Counterpropagation Networks) — это архитектура искусственных нейронных сетей, сочетающая принципы обучения с учителем и без учителя. Они состоят из двух основных слоев: слоя Кохоненна и слоя Гроссберга.
|
||||
|
||||
### Слой Кохоненна
|
||||
|
||||
Слой Кохоненна выполняет функцию кластеризации данных без учителя. Он формирует карту признаков, группируя входные данные по схожести. Алгоритм работы слоя основан на поиске нейрона-победителя, веса которого ближе всего к входному вектору. Весовые коэффициенты этого нейрона и соседних нейронов корректируются в направлении входного вектора.
|
||||
|
||||
### Слой Гроссберга
|
||||
|
||||
Слой Гроссберга используется для обучения с учителем. Он связывает результаты кластеризации (индекс нейрона-победителя в слое Кохоненна) с целевыми выходами. Этот слой корректирует веса на основе ошибки между целевым выходом и фактическим значением, что позволяет сети выполнять задачи регрессии или классификации.
|
||||
|
||||
### Итог
|
||||
|
||||
Сети встречного распространения эффективно решают задачи, где необходимо предварительное структурирование данных (кластеризация), а затем — настройка на конкретные целевые данные. Такая архитектура обеспечивает адаптивность и высокую скорость обучения.
|
||||
|
||||
---
|
||||
|
||||
## Вопрос 3. Процессорные ядра фирмы Xilinx. Особенности архитектуры процессорных ядер Picoblaze и Microblaze
|
||||
|
||||
Компания Xilinx разрабатывает процессорные ядра для встраиваемых систем, интегрируемые в ПЛИС. Два наиболее известных ядра — Picoblaze и Microblaze.
|
||||
|
||||
### Picoblaze
|
||||
|
||||
Picoblaze — это простое 8-битное процессорное ядро с фиксированной архитектурой. Его особенности:
|
||||
|
||||
* Минимальный набор инструкций (около 40 команд).
|
||||
* Жёстко фиксированная архитектура: 8-битные регистры, 8-битная шина данных.
|
||||
* Отсутствие стека и поддержки прерываний.
|
||||
* Используется для простых задач: управление портами ввода-вывода, обработка сигналов, выполнение простых алгоритмов.
|
||||
* Компактный размер позволяет использовать Picoblaze в проектах с ограниченными ресурсами ПЛИС.
|
||||
|
||||
### Microblaze
|
||||
|
||||
Microblaze — более сложное и универсальное 32-битное процессорное ядро с архитектурой Harvard.
|
||||
|
||||
* Конфигурируемая архитектура: пользователи могут выбирать набор команд, поддержку кэша, периферийных модулей.
|
||||
* Поддержка прерываний, исключений, системного таймера.
|
||||
* Используется для сложных приложений: цифровая обработка сигналов, сетевые протоколы, управление сложными системами.
|
||||
* Поддерживает интеграцию с внешними интерфейсами через AXI-шину.
|
||||
|
||||
### Сравнение
|
||||
|
||||
Picoblaze — простое ядро для базовых задач с минимальными требованиями к ресурсам, Microblaze — гибкий процессор для более сложных приложений, где требуется расширенный функционал.
|
||||
|
||||
Архитектура процессорных ядер Xilinx позволяет проектировать системы на кристалле (SoC), обеспечивая высокую степень интеграции и производительность.
|
||||
|
||||
|
||||
# Экзаменационный билет №9
|
||||
|
||||
## Вопрос 1. Математический аппарат описания решетчатых функций. Теоремы и свойства Z-преобразования
|
||||
|
||||
Решетчатые функции — это функции, определенные на дискретных множественных структурах, например, на множествах, частично упорядоченных по определенному закону. В системах автоматического управления часто используются дискретные сигналы, которые удобно описывать с помощью таких функций, а их анализ и синтез выполняется с использованием Z-преобразования.
|
||||
|
||||
### Теоремы и свойства Z-преобразования
|
||||
|
||||
Z-преобразование — основной инструмент анализа линейных дискретных систем. Основные свойства Z-преобразования:
|
||||
|
||||
1. **Линейность**:
|
||||
$Z\{a x_1[k] + b x_2[k]\} = a X_1(z) + b X_2(z)$
|
||||
2. **Сдвиг по времени** (задержка на n шагов):
|
||||
$Z\{x[k - n]\} = z^{-n} X(z)$
|
||||
3. **Сдвиг по времени влево** (ускорение):
|
||||
$Z\{x[k + n]\} = z^{n} (X(z) - x[0] - x[1]z^{-1} - ... - x[n-1]z^{-(n-1)})$
|
||||
4. **Скалярное умножение по времени**:
|
||||
$Z\{a^k x[k]\} = X(z/a)$
|
||||
5. **Теорема свертки**:
|
||||
$Z\{x[k]*y[k]\} = X(z)Y(z)$
|
||||
6. **Теорема конечных значений**:
|
||||
$\lim_{k\to\infty} x[k] = \lim_{z\to1} (1-z^{-1})X(z)$
|
||||
7. **Теорема начальных значений**:
|
||||
$x[0] = \lim_{z\to\infty} X(z)$
|
||||
|
||||
Z-преобразование используется для перехода из временной области в частотную и обратно, что позволяет анализировать устойчивость и поведение систем.
|
||||
|
||||
---
|
||||
|
||||
## Вопрос 2. Алгоритм обучения по Хэббу
|
||||
|
||||
Алгоритм Хэбба — один из фундаментальных методов обучения в нейронных сетях, основанный на принципе: "Нейроны, которые возбуждаются одновременно, усиливают связь друг с другом".
|
||||
|
||||
Формально правило Хэбба для обновления весов записывается так:
|
||||
$w_{ij}^{(new)} = w_{ij}^{(old)} + \eta \cdot x_i \cdot y_j$
|
||||
где:
|
||||
|
||||
* $w_{ij}$ — вес связи между нейроном $i$ и нейроном $j$,
|
||||
* $\eta$ — коэффициент обучения (малое положительное число),
|
||||
* $x_i$ — значение входного сигнала нейрона $i$,
|
||||
* $y_j$ — значение выходного сигнала нейрона $j$.
|
||||
|
||||
Иными словами, веса усиливаются, если и входной, и выходной сигналы активны одновременно. Это правило положило начало развитию теорий обучения без учителя в нейронных сетях.
|
||||
|
||||
Характерные особенности обучения по Хэббу:
|
||||
|
||||
* Локальный характер обновления весов.
|
||||
* Прямое отражение взаимной корреляции сигналов.
|
||||
* Применимо для формирования карты признаков и обучения систем распознавания образов.
|
||||
|
||||
Метод Хэбба часто применяется в простых архитектурах и является основой для построения более сложных моделей обучения, таких как когнитроны и нейросетевые автоассоциаторы.
|
||||
|
||||
# Экзаменационный билет №10
|
||||
|
||||
## Вопрос 1. Построение переходных процессов. Разложение в ряд Лорана
|
||||
|
||||
Переходные процессы в системах автоматического управления (САУ) отражают динамическую реакцию системы на изменения входного воздействия, например, при включении, переключении режимов или возмущениях. Для анализа переходных процессов часто используют методы, основанные на обратных преобразованиях комплексных функций.
|
||||
|
||||
Разложение в ряд Лорана — мощный математический инструмент для представления функции комплексного переменного в окрестности особенностей (полюсов). В отличие от разложения в ряд Тейлора, который существует в области аналитичности функции, ряд Лорана позволяет описать функцию с особенностями, выделяя как положительные, так и отрицательные степени переменной.
|
||||
|
||||
В контексте ЦАС (цифровых автоматических систем) разложение передаточной функции системы в ряд Лорана даёт возможность разложить систему на элементарные составляющие с разными характеристиками динамики. При построении переходных процессов это помогает выделить устойчивые и неустойчивые составляющие, а также оценить влияние полюсов и нулей.
|
||||
|
||||
Построение переходного процесса обычно начинается с представления передаточной функции в виде дробно-рациональной функции. Затем выполняется разложение на элементарные дроби, которые можно преобразовать в соответствующие временные функции с использованием обратного преобразования Лапласа или Z-преобразования (для дискретных систем). Если особенности функции требуют, применяют разложение в ряд Лорана, позволяющее учесть поведение функции в окрестностях точек, где ряд Тейлора неприменим.
|
||||
|
||||
Таким образом, разложение в ряд Лорана расширяет возможности анализа переходных процессов, позволяя учитывать более сложные динамические эффекты и особенности системы.
|
||||
|
||||
---
|
||||
|
||||
## Вопрос 2. Неокогнитрон: сходство с когнитроном и отличие от него, структура сети
|
||||
|
||||
Неокогнитрон — это усовершенствованная архитектура нейронной сети, предложенная Фукусимой в 1980-х годах для распознавания образов, эволюционировавшая из когнитрона.
|
||||
|
||||
### Сходства с когнитроном
|
||||
- Оба основаны на концепции многослойных сетей с иерархической обработкой признаков.
|
||||
- Используют слои, ответственные за выделение и классификацию признаков на разных уровнях.
|
||||
- Могут обучаться без учителя, используя принципы конкуренции и самоорганизации.
|
||||
|
||||
### Отличия
|
||||
- Неокогнитрон внедряет механизмы инвариантности к сдвигам входного изображения, что обеспечивает устойчивость к перемещению распознаваемых объектов.
|
||||
- Архитектура неокогнитрона состоит из чередующихся слоев S (пространственная свертка) и C (агрегация и подвыборка), что имитирует функции зрительной коры.
|
||||
- В неокогнитроне применяются методы подкрепления и более сложные правила обучения по сравнению с базовым когнитроном.
|
||||
|
||||
### Структура сети
|
||||
- **Слои S:** выделяют локальные признаки с помощью фильтров, аналогичных рецептивным полям.
|
||||
- **Слои C:** выполняют подвыборку (subsampling), снижая размерность и обеспечивая инвариантность к сдвигам.
|
||||
- Множество таких пар слоев образует глубокую структуру, где каждый последующий слой работает с более абстрактными признаками.
|
||||
- В конце сети обычно расположены слои классификации.
|
||||
|
||||
Неокогнитрон считается предшественником современных сверточных нейронных сетей (CNN), вобравших в себя идеи и архитектурные решения этого подхода.
|
||||
|
||||
# Экзаменационный билет №11
|
||||
|
||||
## Вопрос 1. Синтез корректирующих устройств ЦАС методом расчета по аналоговому прототипу
|
||||
|
||||
Синтез корректирующих устройств цифровых автоматических систем (ЦАС) с использованием аналогового прототипа — классический метод, позволяющий разрабатывать цифровые регуляторы на основе хорошо известных аналоговых схем.
|
||||
|
||||
Процедура включает следующие этапы:
|
||||
|
||||
1. **Выбор аналогового прототипа** — корректирующего устройства, обеспечивающего требуемые показатели качества по частоте и устойчивости.
|
||||
2. **Построение частотных характеристик аналогового прототипа** — амплитудно-частотной (АЧХ) и фазо-частотной (ФЧХ).
|
||||
3. **Преобразование аналогового прототипа в цифровую модель** — с помощью методов дискретизации, таких как преобразование Билинейное или метод импульсно-инвариантного преобразования.
|
||||
4. **Настройка параметров цифрового корректирующего устройства** — с целью сохранения свойств аналогового прототипа и достижения необходимых требований к системе.
|
||||
5. **Проверка и корректировка** — моделирование переходных процессов и устойчивости.
|
||||
|
||||
Данный метод позволяет использовать проверенные схемотехнические решения и интуитивно понятные характеристики, облегчая проектирование цифровых регуляторов.
|
||||
|
||||
---
|
||||
|
||||
## Вопрос 2. Нейронная сеть Хэмминга
|
||||
|
||||
Нейронная сеть Хэмминга — это однослойная сеть, использующая весовые коэффициенты, основанные на кодах Хэмминга, предназначенная для распознавания образов и коррекции ошибок.
|
||||
|
||||
Основные характеристики:
|
||||
|
||||
- Сеть строится на основе принципа коррекции и обнаружения ошибок, используя свойства кодов Хэмминга.
|
||||
- Каждый нейрон сети соответствует определённому эталонному шаблону или коду.
|
||||
- При подаче входного сигнала сеть вычисляет расстояние Хэмминга до эталонных кодов, определяя наиболее близкий к нему класс.
|
||||
- Используется для задач распознавания с ограниченным числом шаблонов и в условиях шума.
|
||||
|
||||
Нейронная сеть Хэмминга демонстрирует эффективность в задачах классификации с чётко разделёнными классами и обладает высокой устойчивостью к ошибкам входных данных благодаря свойствам кода Хэмминга.
|
||||
|
||||
# Экзаменационный билет №12
|
||||
|
||||
## Вопрос 1. Использование билинейного w-преобразования. Использование псевдочастоты
|
||||
|
||||
Билинейное преобразование — один из распространённых методов дискретизации аналоговых систем, позволяющий преобразовать непрерывные передаточные функции в цифровые, сохраняя при этом устойчивость и частотные характеристики.
|
||||
|
||||
Основные свойства и этапы применения билинейного преобразования:
|
||||
|
||||
- Преобразование отображает левую полуплоскость комплексной переменной s в единичный круг в плоскости z, что обеспечивает сохранение устойчивости.
|
||||
- Формула преобразования:
|
||||
\( z = \frac{1 + \frac{T}{2}s}{1 - \frac{T}{2}s} \), где T — период дискретизации.
|
||||
- Частоты аналогового сигнала \( \omega \) преобразуются в псевдочастоты \( \Omega \) по формуле:
|
||||
\( \Omega = \frac{2}{T} \tan \left(\frac{\omega T}{2}\right) \).
|
||||
- Использование псевдочастоты позволяет учитывать искажения частотных характеристик, возникающие при дискретизации, и корректировать их при проектировании цифровых фильтров.
|
||||
|
||||
Таким образом, билинейное преобразование с использованием псевдочастоты обеспечивает точное моделирование частотных характеристик и позволяет эффективно разрабатывать цифровые системы управления на основе аналоговых прототипов.
|
||||
|
||||
---
|
||||
|
||||
## Вопрос 2. Проблема переобучения алгоритма обратного распространения ошибки
|
||||
|
||||
Переобучение (overfitting) — одна из ключевых проблем при обучении нейронных сетей с помощью алгоритма обратного распространения ошибки. Оно возникает, когда модель слишком хорошо подстраивается под обучающие данные, но теряет способность обобщать на новые, невидимые данные.
|
||||
|
||||
Основные причины переобучения:
|
||||
|
||||
- Слишком сложная модель с большим числом параметров.
|
||||
- Недостаточный объем или разнообразие обучающей выборки.
|
||||
- Чрезмерное количество итераций обучения.
|
||||
|
||||
Способы предотвращения и борьбы с переобучением:
|
||||
|
||||
- **Регуляризация** — добавление штрафов к функции потерь для ограничения величины весов.
|
||||
- **Раннее прекращение обучения (early stopping)** — остановка тренировки до достижения минимальной ошибки на проверочной выборке.
|
||||
- **Использование более простых моделей** — снижение количества параметров.
|
||||
- **Аугментация данных** — расширение обучающей выборки с помощью преобразований данных.
|
||||
- **Кросс-валидация** — использование разделения данных для оценки способности модели к обобщению.
|
||||
|
||||
Понимание и контроль переобучения важны для создания устойчивых и надежных нейронных сетей с хорошей обобщающей способностью.
|
||||
|
||||
# Экзаменационный билет №13
|
||||
|
||||
## Вопрос 1. Рекурсивные и нерекурсивные корректирующие фильтры ЦАС
|
||||
|
||||
В системах автоматического управления (САУ) корректирующие фильтры используются для формирования требуемых характеристик системы и улучшения её динамических свойств. Существуют два основных типа корректирующих фильтров: рекурсивные и нерекурсивные.
|
||||
|
||||
**Рекурсивные фильтры** (инфинитные импульсные отклики, IIR) имеют обратную связь, то есть выход фильтра зависит не только от текущих и предыдущих входных значений, но и от предыдущих выходных значений. Это позволяет реализовывать фильтры с бесконечной длительностью импульсного отклика. Такие фильтры обычно обладают меньшим порядком при аналогичных характеристиках и требуют меньше вычислительных ресурсов. Однако их устойчивость и фазовые характеристики требуют особого контроля.
|
||||
|
||||
**Нерекурсивные фильтры** (конечные импульсные отклики, FIR) не имеют обратной связи — выход зависит только от текущих и прошлых входных значений. Они имеют конечный импульсный отклик и гарантированную устойчивость. FIR-фильтры обладают линейной фазой, что важно для сохранения формы сигнала, но часто требуют более высокого порядка для достижения тех же характеристик, что и рекурсивные.
|
||||
|
||||
Выбор между рекурсивными и нерекурсивными фильтрами зависит от требований к системе, включая устойчивость, фазовые характеристики, вычислительную сложность и целевые характеристики фильтра.
|
||||
|
||||
---
|
||||
|
||||
## Вопрос 2. Двухслойность персептрона
|
||||
|
||||
Двухслойный персептрон — это простейшая многослойная нейронная сеть, состоящая из входного слоя, одного скрытого слоя и выходного слоя. В отличие от однослойного персептрона, который способен решать только линейно разделимые задачи, двухслойный персептрон способен аппроксимировать нелинейные функции и решать задачи, неразрешимые линейными моделями.
|
||||
|
||||
Основные особенности двухслойного персептрона:
|
||||
|
||||
- **Скрытый слой** содержит нейроны с нелинейной активацией, что позволяет модели выявлять сложные зависимости в данных.
|
||||
- Использование алгоритмов обучения с обратным распространением ошибки (backpropagation) для настройки весов.
|
||||
- Возможность решать задачи классификации с несколькими классами и задачи регрессии.
|
||||
|
||||
Двухслойный персептрон является базовым элементом современных глубоких нейронных сетей и заложил основу для развития методов обучения и архитектур, используемых в современной нейросетевой инженерии.
|
||||
|
||||
|
||||
# Экзаменационный билет №14
|
||||
|
||||
## Вопрос 1. Определение устойчивости ЦАС по логарифмическим частотным характеристикам
|
||||
|
||||
Устойчивость систем автоматического управления (САУ) является ключевым параметром, обеспечивающим корректное и предсказуемое поведение системы. Один из эффективных методов оценки устойчивости — анализ логарифмических амплитудно-фазовых характеристик (ЛАЧХ).
|
||||
|
||||
Определение устойчивости по ЛАЧХ основано на оценке запаса по фазе и запаса по амплитуде системы замкнутой передачи. Запас по фазе показывает, на сколько градусов фазовый сдвиг отличается от критического значения -180° при частоте с единичным усилением, а запас по амплитуде — насколько амплитуда ЛАЧХ меньше единицы при частоте, где фазовый сдвиг достигает -180°. Положительные запасы обеспечивают устойчивость.
|
||||
|
||||
Метод позволяет визуально оценить близость системы к нестабильности и корректировать параметры регулятора для улучшения устойчивости и динамических характеристик.
|
||||
|
||||
---
|
||||
|
||||
## Вопрос 2. Радиальная нейронная сеть и ее архитектура
|
||||
|
||||
Радиальная базисная функция (РБФ) — это вид нейронной сети, где каждый нейрон скрытого слоя использует радиальную базисную функцию, обычно гауссову. Архитектура РБФ-сети включает:
|
||||
|
||||
- Входной слой, передающий данные в скрытый слой.
|
||||
- Скрытый слой, состоящий из нейронов с радиальной функцией активации, реагирующей на близость входного вектора к центру функции.
|
||||
- Выходной слой, обычно линейный, который формирует итоговый ответ сети.
|
||||
|
||||
РБФ-сети хорошо подходят для задач классификации и регрессии благодаря быстрому обучению и способности аппроксимировать нелинейные зависимости. Обучение состоит из выбора центров радиальных функций, масштабирования и обучения выходных весов.
|
||||
|
||||
---
|
||||
|
||||
## Вопрос 3. ПЛИС типа FPGA фирмы Xilinx семейств Artix-7, Kintex-7, Virtex-7, Zynq-7000
|
||||
|
||||
FPGA Xilinx серии 7-й генерации предлагают широкий спектр устройств для различных приложений.
|
||||
|
||||
**Artix-7** — ориентированы на энергоэффективность и низкую стоимость, подходят для встраиваемых систем и приложений с ограниченными ресурсами.
|
||||
|
||||
**Kintex-7** — сбалансированы по производительности и стоимости, предназначены для приложений со средними требованиями к пропускной способности и вычислительной мощности.
|
||||
|
||||
**Virtex-7** — высокопроизводительные FPGA для сложных вычислительных задач, телекоммуникаций и обработки сигналов с большим числом логических элементов и высокоскоростными интерфейсами.
|
||||
|
||||
**Zynq-7000** — гибридные SoC-устройства, объединяющие FPGA с процессорным ядром ARM Cortex-A9, обеспечивают гибкость программирования и аппаратного ускорения, применяются в системах реального времени и встроенных вычислениях.
|
||||
|
||||
Выбор семейства зависит от конкретных требований по производительности, стоимости и функциональности.
|
||||
|
Loading…
Reference in New Issue
Block a user